博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
UVM:7.6.2 检查默认值的sequence
阅读量:4219 次
发布时间:2019-05-26

本文共 430 字,大约阅读时间需要 1 分钟。

1.uvm_reg_hw_reset_seq 检查上电复位后寄存器模型与DUT 寄存器的默认值是否相同,原型为:

2.对于DUT,复位完成后,就是默认值。但是对寄存器模型,如果只是集成到验证平台,不做任何处理,所有值都是0,此时要调用reset 函数使其寄存器的值变为默认值:

3.这个sequence 检查前会调用model 的reset函数,所以即使user没有调用reset,这个sequence 也能正常工作(它会自动调)。

4.除了reset外,这个sequence使用前门读取所有寄存器的值,与寄存器模型比较。

5.此sequence 也要指定model 变量。

6.如果想跳过某个寄存器的检查,可在启动此sequence之前用个resource_db 设置。

7.resource_db 与config_db 底层机制实现是一样的,uvm_config_db 实参uvm_resource_db 派生来的。

使用resource_db 进行设置:

你可能感兴趣的文章
有趣的考试~~
查看>>
试依然在考,烧依然在发~~
查看>>
OS我爱你~~
查看>>
2006年了
查看>>
今天好消息不少。。
查看>>
偶尔也会感慨。。
查看>>
难得的轻闲-_-
查看>>
明天开始复习咯!
查看>>
第二天
查看>>
郁闷的问题
查看>>
阶段性胜利。。
查看>>
有点儿累了,最近特别能吃
查看>>
project的架构模式
查看>>
总结一下细节问题
查看>>
重新整合了一下代码
查看>>
有点儿伤感。。
查看>>
我要开始疯狂code了。。。
查看>>
在写我的论坛ing...
查看>>
页面间的信息传递
查看>>
进入了比较困难的阶段
查看>>